International Paper

국제 학술지

    21
    Face Detection Using Haar Cascade Classifiers Based on Vertical Component Calibration
    Cheol-Ho Choi, Junghwan Kim, Jongkil Hyun, Younghyeon Kim and Byungin Moon
    Human-centric Computing and Information Sciences, Vol. 12, Mar. 2022
    20
    Hardware-friendly architecture for a pseudo 2D weighted median filter based on sparse-window approach
    Jongkil Hyun, Younghyeon Kim, Junghwan Kim and Byungin Moon
    Multimedia Tools and Applications, Vol. 80, Nov. 2021
    19
    Cache memory organization for processing in memory
    Young-Kyu Kim, Byungin Moon, Dong-Sun Kim, Young-Jong Jang
    IEICE Electronics Express, Vol. 16, No. 16, Aug. 2019
    18
    Modified adaptive support weight and disparity search range estimation schemes for stereo matching processors
    Seung-Ho Ok, Jae Hoon Shim, and Byungin Moon
    The Journal of Supercomputing, Vol. 74, No. 12, Dec. 2018
    17
    3D die-stacked DRAM thermal management via task allocation and core pipeline control
    Changho Yoon, Jae Hoon Shim, Byungin Moon, Joonho Kong
    IEICE Electronics Express, Vol. 15, No. 3, Feb. 2018
    16
    A simplified rectification method and its hardware architecture for embedded multimedia systems
    Jongkil Hyun and Byungin Moon
    Multimedia Tools and Applications, Vol. 76, No. 19, Oct. 2017
    15
    A study of partitioned DIMM tree management for multimedia server systems
    Young-Kyu Kim, Yong-Hwan Lee, and Byungin Moon
    Multimedia Tools and Applications, Vol. 76, No. 19, Sep. 2017
    14
    An accurate and cost-effective stereo matching algorithm and processor for real-time embedded multimedia systems
    Kyeong-ryeol Bae and Byungin Moon
    Multimedia Tools and Applications, Vol. 76, No. 17, Sep. 2017
    13
    A Multi-Level Accumulation-Based Rectification Method and Its Circuit Implementation
    Hyeon-Sik Son and Byungin Moon
    KSII Transactions on Internet and Information Systems, Vol. 11, No.6, Jun. 2017
    12
    An Improved Stereo Matching Algorithm with Robustness to Noise Based on Adaptive Support Weight
    Ingyu Lee and Byungin Moon
    Journal of Information Processing Systems, Vol. 13, No. 2, Apr. 2017
    11
    The Impact of 3D Stacking and Technology Scaling on the Power and Area of Stereo Matching Processors
    Seung-Ho Ok, Yong-Hwan Lee, Jae Hoon Shim, Sung Kyu Lim, and Byungin Moon
    Sensors, Vol. 17, No. 2, Feb. 2017
    10
    A T-DIMM ID Based Command Routing Method for the DIMM Tree Architecture
    Young-Kyu Kim and Byungin Moon
    International Journal of Control and Automation, Vol. 8, No. 2, Feb. 2015
    9
    A Real-Time Stereo Matching Hardware Architecture Based on the AD-Census
    Hyeon-Sik Son, Kyeong-ryeol Bae, Yong-Hwan Lee and Byungin Moon
    International Journal of Multimedia and Ubiquitous Engineering, Vol. 8, No. 4, Jul. 2013
    8
    An ant colony optimization approach for the preference-based shortest path search
    Seung-Ho Ok, Woo-Jin Seo, Jin-Ho Ahn, Sungho Kang, and Byungin Moon
    Journal of the Chinese Institute of Engineers, Vol. 34, No. 2, Mar. 2011
    7
    System on a Chip Implementation of Social Insect Behavior for Adaptive Network Routing
    Jin-Ho Ahn, Hyunjin Kim, Byung In Moon, Sungho Kang
    Lecture Notes in Artificial Intelligence, Vol. 4114, Aug. 2006
    6
    A Low-Cost Recovery Mechanism for Processors with Large Instruction Windows
    In Pyo HONG, Byung In MOON, Yong Surk LEE
    IEICE TRANSACTIONS on Information and Systems, Vol. E89-D, No. 6, Jun. 2006
    5
    A Practical Test Scheduling Using Network-Based TAM in Network on Chip Architecture
    Jin Ho Ahn, Byung In Moon, and Sungho Kang
    Lecture Notes in Computer Science, Vol. 3740, Oct. 2005
    4
    An In-order SMT Architecture with Static Resource Partitioning for Consumer Applications
    Byung In Moon, Hongil Yoon, Ilgu Yun, Sungho Kang
    Lecture Notes in Computer Science, Vol. 3320, Dec. 2004
    3
    Study of an In-order SMT Architecture and Grouping Schemes
    Byung In Moon, Moon Gyung Kim, In Pyo Hong, Ki Chang Kim, and Yong Surk Lee
    International Journal of Control, Automation, and Systems, Vol. 1, No. 3, Sep. 2003
    2
    A Fast Finite Field Multiplier Architecture for High-Security Elliptic Curve Cryptosystems
    Sangook Moon, Yong Joo Lee, Jae Min Park, Byung In Moon, Yong Surk Lee
    IEICE TRANSACTIONS on Information and Systems, Vol.E85-D, No.2, Feb. 2002
    1
    A 32-bit RISC Microprocessor with DSP Functionality: Rapid Prototyping
    Byung In Moon, Dong Ryul Ryu, Jong Wook Hong, Tae Young Lee, Sangook Moon, and Yong Surk Lee
    IEICE TRANSACTIONS on Fundamentals of Electronics, Communications and Computer Sciences, Vol. E84-A, No. 5, May. 2001

국제 학술대회

    41
    A Haar Classifier Accelerator with Reduced Multiplexer Usage
    SangHyun Lee, Byungin Moon
    Proc. International SoC Design Conference (ISOCC 2021), Oct, 2021
    40
    Haar Filter Hardware Architecture for the Accuracy Improvement of Stereo Vision Systems
    Cheol-Ho Choi, Younghyeon Kim, Jiseok Ha, Byungin Moon
    Proc. International SoC Design Conference (ISOCC 2021), Oct. 2021
    39
    Hardware Architecture of a Haar Classifier Based Face Detection System using a Skip Scheme
    Jongkil Hyun, Junghwan Kim, Cheol-Ho Choi, Byungin Moon
    Proc. IEEE International Symposium on Circuits and Systems (ISCAS 2021), May. 2021
    38
    Low-cost Hardware Architecture for Integral Image Generation using Word Length Reduction
    Junghwan Kim, Jongkil Hyun, Byungin Moon
    Proc. International SoC Design Conference (ISOCC 2020), Oct. 2020
    37
    Memory-Efficient Architecture for Contrast Enhancement and Integral Image Computation
    Dongsub Kim, Jongkil Hyun, Byungin Moon
    Proc. International Conference on Electronics, Information, and Communication (ICEIC), Jan. 2020
    36
    Weighted Median Filter Architecture Based on the Sparse Window Approach
    Jongkil Hyun, Younghyeon Kim, Junghwan Kim, and Byungin Moon
    Proc. International Workshop on Future Technology, Jan. 2020
    35
    Drivable Area Detection Method Capable of Distinguishing Vegetation Area on Country Road
    Sangjae Lee and Byungin Moon
    Proc. International SoC Design Conference (ISOCC 2018), Nov. 2018
    34
    A Disparity Search Range Estimation Method Using Cluster Blocks
    Taewoong Ahn and Byungin Moon
    Proc. International Conference on Electronics, Electrical Engineering, Computer Science (EEECS 2016), Aug. 2016
    33
    An Accumuation-Based Rectification and Distortion Correction Method
    Hyoen-Sik Son and Byungin Moon
    Proc. International Conference on Electronics, Electrical Engineering, Computer Science (EEECS 2016), Jan. 2016
    32
    A Modified Census Transform Using the Representative Intensity Values
    Hyun-Woo Jo and Byungin Moon
    Proc. International SoC Design Conference (ISOCC 2015), Nov. 2015
    31
    A Study on Fast Partition Page Table Management for the DIMM Tree Architecture
    Young-Kyu Kim, Yong-Hwan Lee, Byungin Moon
    Proc. International Workshop on Advanced Computing and Multimedia Technology (ACMT 2015), Oct. 2015
    30
    A Simplified Rectification Method for Efficient Hardware Implementation
    Jongkil Hyun and Byungin Moon
    Proc. International Workshop on Advanced Computing and Multimedia Technology (ACMT 2015), Oct. 2015
    29
    A Fast Stereo Matching Algorithm and Its Hardware Architecture for Real-time Embedded Multimedia Systems
    Kyeong-ryeol Bae and Byungin Moon
    Proc. International Workshop on Advanced Computing and Multimedia Technology (ACMT 2015), Oct. 2015
    28
    A Census-Based Stereo Matching Algorithm with Multiple Sparse Windows
    Kyeong-ryeol Bae, Hyeon-Sik Son, Jongkil Hyun and Byungin Moon
    Proc. International Conference on Ubiquitous and Future Networks (ICUFN 2015), Jul. 2015
    27
    A Command Routing Method without the Routing Table for the DIMM Tree Architecture
    Young-Kyu Kim and Byungin Moon
    Proc. u- and e- Service, Science and Technology (UNESST 2014), Dec. 2014
    26
    An Online Test and Debug Methodology for Automotive Image Processing System
    Hyunggoy Oh, Inhyuk Choi, Taewoo Han, Won Jung, Byungin Moon, and Sungho Kang
    Proc. International SoC Design Conference (ISOCC 2014), Nov. 2014
    25
    A Memory Controller for the DIMM Tree Architecture
    Young-Jong Jang, Young-Kyu Kim, Taewoong Ahn, Byungin Moon
    Proc. The Eighth International Conference on Advanced Engineering Computing and Applications in Sciences (ADVCOMP 2014), Aug. 2014
    24
    Design and Analysis of 3D IC-Based Low Power Stereo Matching Processors
    Seung-Ho Ok, Kyeong-ryeol Bae, Sung Kyu Lim, Byungin Moon
    Proc. International Symposium on Low Power Electronics and Design (ISLPED 2013), Sep. 2013
    23
    A Cost-Effective Local Stereo Matching Based on the Unconventional Window
    Kyeong-ryeol Bae, Hyeon-Sik Son, Yong-Hwan Lee, Byungin Moon
    Proc. Advanced Researches on Software Technology (SoftTech 2013), Apr. 2013
    22
    A Real-Time Stereo Matching Hardware Architecture Based on the AD-Census 3
    Hyeon-Sik Son, Kyeong-ryeol Bae, Yong-Hwan Lee and Byungin Moon
    Proc. Advanced Researches on Software Technology (SoftTech 2013), Apr. 2013
    21
    An Efficient Interworking Architecture of a Network Processor for Layer 7 Packet Processing
    Kyeong-ryeol Bae, Seung-Ho Ok, Hyeon-Sik Son, Sang Yoon Oh, Yong-Hwan Lee, and Byungin Moon
    Proc. Future Generation Communication and Networking (FGCN 2011), Dec. 2011
    20
    A Rectification Hardware Architecture for an Adaptive Multiple-Baseline Stereo Vision System
    Hyeon-Sik SonKyeong-ryeol BaeSeung-Ho OkYong-Hwan LeeByungin Moon
    Proc. Future Generation Communication and Networking (FGCN 2011), Dec. 2011
    19
    A Platform for Real Time Brain-Waves Analysis System
    Eun-Gyu Jeong, Byungin Moon, and Yong-Hwan Lee
    Proc. Grid and Distributed Computing (GDC 2011), Dec. 2011
    18
    Hardware Architecture of Bilateral Filter to Remove Haze
    Eun-Kyoung Kim, Jae-Dong Lee, Byungin Moon, and Yong-Hwan Lee
    Proc. Future Generation Communication and Networking (FGCN 2011), Dec. 2011
    17
    A Fully Parallel, High-Speed BPC Hardware Architecture for the EBCOT in JPEG 2000
    Dong-Hwi Woo, Kyeong-Ryeol Bae, Hyeon-Sic Son, Seung-Ho Ok, Yong Hwan Lee, and Byungin Moon
    Proc. Future Generation Communication and Networking (FGCN 2011), Dec. 2010
    16
    Design of a 32-bit RISC Processor with Reduced Area
    Hyo-Su Jeong, Young-Kyu Kim, Byungin Moon
    Proc. The 5th International Symposium on Embedded Technology, May. 2010
    15
    Efficient Data Transmission Scheme among Multi-devices
    Sang-Bong Byun, Byunin Moon, and Yong-Hwan Lee
    Proc. Future Generation Communication and Networking (FGCN 2009), Dec. 2009
    14
    An Ant Colony Optimization Approach for the Preference-based Shortest Path Search
    Seung-Ho Ok, Woo-Jin Seo, Jin-Ho Ahn, Sungho Kang, and Byungin Moon
    Proc. Future Generation Communication and Networking (FGCN 2009), Dec. 2009
    13
    An Efficient Hardware Architecture of the A-star Algorithm for the Shortest Path Search Engine
    Woo-Jin Seo, Seung-Ho Ok, Jin-Ho Ahn, Sungho Kang, Byungin Moon
    Proc. International Joint Conference on INC, IMS, and IDC (NCM 2009), Aug. 2009
    12
    Effective Fast Link between Mobile Components
    Sang -Bong Byun, Hyun-Woong Ju, Byung-In Moon, Yong-Hwan Lee
    Proc. International Conference of Maritime Information and Communication Sciences (ICKIMICS2009), Vol. 2, No.1, Jun. 2009
    11
    System-Level Development and Verification of the FlexRay Communication Controller Model Based on SystemC
    Woo Sik Kim, Hyun Ah Kim, Jin-Ho Ahn, Byungin Moon
    Proc. Future Generation Communication and Networking (FGCN 2008), Dec. 2008
    10
    High-Speed Priority Queue Architecture for Multiple Out Links
    Sang Gyun Kim, Woo Sik Kim, Seung Ho Ok and Byung In Moon
    Proc. IEEE International Symposium on Electronic Design, Test and Applecations (delta 2008), Jan. 2008
    9
    A Digit Reversal Circuit for the Variable-Length Radix-4 FFT
    Seung Ho Ok, Byung In Moon
    Proc. Future Generation Communication and Networking (FGCN 2007), Dec. 2007
    8
    Improved Reinforcement Computing to Implement AntNet-Based Routing using General NPs for Ubiquitous Environments
    Hyuntae Park, Byung In Moon, and Sungho Kang
    Proc. International Conference on Ubiquitous Convergence Technology (ICUCT 2006), Dec. 2006
    7
    A Partitioned Binary Search Scheme on Multiple Trees for Efficient IP Address Lookup
    Hyuntae Park, Sungho Kang, Byung In Moon
    Proc. International SoC Design Conference (ISOCC 2006), Oct. 2006
    6
    An Efficient Test Scheduling Algorithms in Networks on Chip Architecture
    Jin-Ho Ahn, Byung In Moon, and Sungho Kang
    Proc. International Technical Conference on Circuits Systems, Computers and Communications (ITC-CSCC), Jul. 2005
    5
    Analysis of the Impact of Simultaneous Multithreading on Cache interference
    Byung In Moon, Ilgu Yun, Hongil Yun, Sungho Kang
    Proc. International SoC Design Conference, Oct. 2004
    4
    An Optimal Simultaneous Multi-Threading Architecture with In-order Issue and Completion
    In-Pyo Hong, Byung-In Moon, Moon-Gyung Kim, Jae-Eok Kim, Yong-Surk Lee
    Proc. North-east Asia IT Symposium, Jan. 2002
    3
    A Simultaneous Multithreading Processor Architecture with Minimal Hardware Overhead
    Byung In Moon, Moon Gyung Kim, Woo Kyeong Jeong, Seung Pil Lee, and Yong Surk Lee
    Proc. International Technical Conference on Circuits Systems, Computers and Communications (ITC-CSCC), Jul. 2001
    2
    Implementation of a Cycle-based Simulator for the Design of a Processor Core
    Moon Gyung Kim, Byung In Moon, Sang Jun An, Dong Ryul Ryu, and Youg Surk Lee
    Proc. IEEE Asia Pacific Conference on ASICs (AP-ASIC’99), Aug. 1999
    1
    A 32-bit RISC Microprocessor with DSP Functionality
    Byung In Moon, Doun Ryu, Jong Wook Hong, Sangook Moon, Young Hwan Lee, and Yong Surk Lee
    Proc. Electronic Circuits and Systems Conference (ECS’99), Sep. 1999
SoC footer